Month: December 2021

How Cadence Coverage commands solve manual efforts in coverage closure exercise?
Blog

How Cadence Coverage commands solve manual efforts in coverage closure exercise?

Coverage is traditionally used as a confidence-building metric and the quality of verification is measured based on it. Coverage works as a safety net to ensure that the design is verified thoroughly and keeps track of the progress of the verification process. While verifying a complex SoC (System on Chip) or IP (Intellectual Property), we invest most of our time in other verification phases like planning, development, and debugging. We generally struggle to close on coverage numbers. The purpose of this article is to highlight some hidden but useful coverage commands supported by the Cadence IMC tool that can help to ease and speed up the coverage closure.

Read More »

Our Work

Innovate

Transform.

Scale

Partnerships

Device Partnerships
Digital Partnerships
Quality Partnerships
Silicon Partnerships

Company

Products & IPs

Services